Compare commits

..

No commits in common. "dc7d06cefcb560546313ee9cfc41efeb8bb5719b" and "fb929a05a95ba64ed500574d429fe38268f29867" have entirely different histories.

3 changed files with 10 additions and 157 deletions

View File

@ -14,9 +14,10 @@ Any help or suggestion is welcome!
- [x] Render the pixels
- [ ] MBC Implementations
- [x] NoMBC
- [x] MBC1
- [x] MBC2
- [ ] MBC1
- [ ] MBC2
- [ ] MBC3
- [ ] MBC4
- [ ] MBC5
- [ ] MBC6
- [ ] MBC7

View File

@ -257,8 +257,8 @@ impl OpcodeParameterBytes {
let opcode = self.0;
let two_byte_param = join_bytes(self.2, self.1);
match opcode {
0x06 => (Opcode::LD(OpcodeParameter::Register_U8(Register::B, self.1)), Cycles(2)),
0x0E => (Opcode::LD(OpcodeParameter::Register_U8(Register::C, self.1)), Cycles(2)),
0x06 => (Opcode::LD(OpcodeParameter::Register_U8(Register::B, self.1)), Cycles(1)),
0x0E => (Opcode::LD(OpcodeParameter::Register_U8(Register::C, self.1)), Cycles(3)),
0x16 => (Opcode::LD(OpcodeParameter::Register_U8(Register::D, self.1)), Cycles(2)),
0x1E => (Opcode::LD(OpcodeParameter::Register_U8(Register::E, self.1)), Cycles(2)),
0x26 => (Opcode::LD(OpcodeParameter::Register_U8(Register::H, self.1)), Cycles(2)),
@ -500,7 +500,7 @@ impl OpcodeParameterBytes {
0x1B => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::E))), Cycles(2)),
0x1C => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::H))), Cycles(2)),
0x1D => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::L))), Cycles(2)),
0x1E => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::HL))), Cycles(4)),
0x1E => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::HL))), Cycles(2)),
0x1F => (Opcode::PrefixCB(Box::new(Opcode::RR(Register::A))), Cycles(2)),
0x20 => (Opcode::PrefixCB(Box::new(Opcode::SLA(Register::B))), Cycles(2)),
@ -740,7 +740,7 @@ impl OpcodeParameterBytes {
0xD2 => (Opcode::JP(OpcodeParameter::FlagRegisterReset_U16(FlagRegister::Carry, two_byte_param)), Cycles(3)),
0xDA => (Opcode::JP(OpcodeParameter::FlagRegisterSet_U16(FlagRegister::Carry, two_byte_param)), Cycles(3)),
0xE9 => (Opcode::JP(OpcodeParameter::Register(Register::HL)), Cycles(1)),
0x18 => (Opcode::JR(OpcodeParameter::I8(self.1 as i8)), Cycles(2)),
0x18 => (Opcode::JR(OpcodeParameter::I8(self.1 as i8)), Cycles(3)),
0x20 => (Opcode::JR(OpcodeParameter::FlagRegisterReset_I8(FlagRegister::Zero, self.1 as i8)), Cycles(2)),
0x28 => (Opcode::JR(OpcodeParameter::FlagRegisterSet_I8(FlagRegister::Zero, self.1 as i8)), Cycles(2)),
0x30 => (Opcode::JR(OpcodeParameter::FlagRegisterReset_I8(FlagRegister::Carry, self.1 as i8)), Cycles(2)),
@ -909,7 +909,7 @@ impl CPU {
}
pub fn handle_interrupt(&mut self, bus: &mut Bus, interrupt: Interrupt) {
println!("Interrupt: {:?}", interrupt);
// println!("Interrupt: {:?}", interrupt);
bus.set_interrupt_flag(interrupt, false);
self.ime = false;
self.registers.decrement(Register::PC, 3);

View File

@ -63,8 +63,6 @@ pub fn load_rom(filename: &str) -> std::io::Result<Box<dyn ROM>> {
Ok(match info.mbc {
MBC::NoMBC => Box::new(NoMBC::new(data, info)),
MBC::MBC1 => Box::new(MBC1::new(data, info)),
MBC::MBC2 => Box::new(MBC2::new(data, info)),
MBC::MBC3 => Box::new(MBC3::new(data, info)),
_ => unimplemented!(),
})
}
@ -266,7 +264,8 @@ impl MBC1 {
self.rom_bank = bank;
if self.rom_bank > self.info.rom_banks.saturating_sub(1) {
self.rom_bank = self.info.rom_banks.saturating_sub(1);
} else if self.rom_bank == 0 {
}
if self.rom_bank == 0 {
self.rom_bank = 1;
}
}
@ -324,150 +323,3 @@ impl ROM for MBC1 {
}
}
}
pub struct MBC2 {
data: Vec<u8>,
info: ROMInfo,
ram: Vec<u8>,
rom_bank: u16,
ram_bank: u8,
ram_enable: bool,
}
impl MBC2 {
fn new(data: Vec<u8>, info: ROMInfo) -> Self {
println!("MBC {:?}", info.mbc);
println!("Region {:?}", info.region);
println!("Has RAM {}", info.has_ram);
println!("ROM banks {}", info.rom_banks);
println!("RAM banks {}", info.ram_banks);
let ram = Vec::with_capacity(info.ram_size() as usize);
Self {
data,
info,
ram,
rom_bank: 1,
ram_bank: 0,
ram_enable: false,
}
}
fn switch_rom_bank(&mut self, bank: u16) {
self.rom_bank = bank;
if self.rom_bank > self.info.rom_banks.saturating_sub(1) {
self.rom_bank = self.info.rom_banks.saturating_sub(1);
} else if self.rom_bank == 0 {
self.rom_bank = 1;
}
}
}
impl ROM for MBC2 {
fn read(&self, address: u16) -> u8 {
if BANK_ZERO.contains(&address) {
return self.data[address as usize];
} else if BANK_SWITCHABLE.contains(&address) {
return self.data[((self.rom_bank as usize * 0x4000) + (address as usize & 0x3FFF)) as usize];
} else if address >= 0xA000 && address <= 0xA1FF {
if !self.info.has_ram || !self.ram_enable {
return 0xFF;
}
return match self.ram.get((address - EXTERNAL_RAM.min().unwrap() + (0x2000 * self.ram_bank as u16)) as usize) {
Some(data) => *data,
None => 0xFF,
};
} else if address >= 0xA200 && address <= 0xBFFF {
return self.read(0xA000 + (address % 0x0200));
}
return 0xFF;
}
fn write(&mut self, address: u16, data: u8) {
if BANK_SWITCHABLE.contains(&address) {
if address.to_be_bytes()[0] & 1 == 0 {
match data {
0x0A => self.ram_enable = true,
_ => self.ram_enable = false,
}
} else {
self.switch_rom_bank(data as u16);
}
}
}
}
pub struct MBC3 {
data: Vec<u8>,
info: ROMInfo,
ram: Vec<u8>,
rom_bank: u16,
ram_bank: u8,
ram_timer_enable: bool,
}
impl MBC3 {
fn new(data: Vec<u8>, info: ROMInfo) -> Self {
println!("MBC {:?}", info.mbc);
println!("Region {:?}", info.region);
println!("Has RAM {}", info.has_ram);
println!("ROM banks {}", info.rom_banks);
println!("RAM banks {}", info.ram_banks);
let ram = Vec::with_capacity(info.ram_size() as usize);
Self {
data,
info,
ram,
rom_bank: 1,
ram_bank: 0,
ram_timer_enable: false,
}
}
fn switch_rom_bank(&mut self, bank: u16) {
self.rom_bank = bank;
if self.rom_bank > self.info.rom_banks.saturating_sub(1) {
self.rom_bank = self.info.rom_banks.saturating_sub(1);
} else if self.rom_bank == 0 {
self.rom_bank = 1;
}
}
}
impl ROM for MBC3 {
fn read(&self, address: u16) -> u8 {
if BANK_ZERO.contains(&address) {
return self.data[address as usize];
} else if BANK_SWITCHABLE.contains(&address) {
return self.data[((self.rom_bank as usize * 0x4000) + (address as usize & 0x3FFF)) as usize];
} else if EXTERNAL_RAM.contains(&address) {
if !self.info.has_ram || !self.ram_timer_enable {
return 0xFF;
}
return match self.ram.get((address - EXTERNAL_RAM.min().unwrap() + (0x2000 * self.ram_bank as u16)) as usize) {
Some(data) => *data,
None => 0xFF,
};
}
return 0xFF;
}
fn write(&mut self, address: u16, data: u8) {
if address >= 0xA000 && address <= 0xBFFF {
} else if address <= 0x1FFF {
match data {
0x0A => self.ram_timer_enable = true,
0x00 => self.ram_timer_enable = true,
_ => {},
}
} else if address >= 0x2000 && address <= 0x3FFF {
self.switch_rom_bank(data as u16);
} else if address >= 0x4000 && address <= 0x5FFF {
if data <= 0x03 {
self.ram_bank = data;
} else if data >= 0x08 && data <= 0x0C && self.info.has_timer {
}
} else if address >= 0x6000 && address <= 0x7FFF {
}
}
}